數字邏輯課程設計電子鐘

(1)     模8計數器 library ieee; use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; entity mo8 is port(            clk,clr:in std_logic;            ql:out std_logic_vector(2 downto 0);           
相關文章
相關標籤/搜索