FPGA學習筆記

FPGA學習筆記 差分信號緩衝器,用於不同電平接口之間的緩衝和轉換。 IBUFDS差分輸入模塊定義; OBUFDS差分輸出模塊定義; 器件原語 時鐘:create_clock -name <clock_name> -period [get_ports ] 差分時鐘只需創建一個p時鐘,單位:ns
相關文章
相關標籤/搜索