VHDL加法器

教材:VHDL硬件描述語言與數字邏輯電路設計(第三版) 軟件:Quartus  II          熟悉軟件基本操作,設計簡單的加法器,並進行仿真實驗。 library ieee; use ieee.std_logic_1164.all; entity my_and is port ( a,b : in std_logic; y : out std_logic ); end e
相關文章
相關標籤/搜索