chisel學習筆記2

第一個Chisel模塊編程 一、直接上代碼編程語言 // Chisel代碼:定義一個模塊 class Passthrough extends Module { val io = IO(new Bundle { val in = Input(UInt(4.W)) val out = Output(UInt(4.W)) }) io.out := io.in } 以上代碼定義
相關文章
相關標籤/搜索